package stdlib-random

  1. Overview
  2. Docs
Legend:
Library
Module
Module type
Parameter
Class
Class type

Pseudo-random number generators (PRNG).

Basic functions

val init : int -> unit

Initialize the generator, using the argument as a seed. The same seed will always yield the same sequence of numbers.

val full_init : int array -> unit

Same as Random3.init but takes more data as seed.

val self_init : unit -> unit

Initialize the generator with a random seed chosen in a system-dependent way. If /dev/urandom is available on the host machine, it is used to provide a highly random initial seed. Otherwise, a less random seed is computed from system parameters (current time, process IDs).

val bits : unit -> int

Return 30 random bits in a nonnegative integer.

val int : int -> int

Random3.int bound returns a random integer between 0 (inclusive) and bound (exclusive). bound must be greater than 0 and less than 230.

  • raises Invalid_argument

    if bound <= 0 or bound >= 230.

val full_int : int -> int

Random3.full_int bound returns a random integer between 0 (inclusive) and bound (exclusive). bound may be any positive integer.

If bound is less than 231, then Random3.full_int bound yields identical output across systems with varying int sizes.

If bound is less than 230, then Random3.full_int bound is equal to Random3.int bound.

If bound is at least 230 (on 64-bit systems, or non-standard environments such as JavaScript), then Random3.full_int returns a value whereas Random3.int raises Stdlib.Invalid_argument.

  • raises Invalid_argument

    if bound <= 0.

  • since 4.13
val int_in_range : min:int -> max:int -> int

Random3.int_in_range ~min ~max returns a random integer between min (inclusive) and max (inclusive). Both min and max are allowed to be negative; min must be less than or equal to max.

If both bounds fit in 32-bit signed integers (that is, if -231 <= min and max < 231), then int_in_range yields identical output across systems with varying int sizes.

  • raises Invalid_argument

    if min > max.

  • since 5.2
val int32 : Stdlib.Int32.t -> Stdlib.Int32.t

Random3.int32 bound returns a random integer between 0 (inclusive) and bound (exclusive). bound must be greater than 0.

  • raises Invalid_argument

    if bound <= 0.

val int32_in_range : min:int32 -> max:int32 -> int32

Random3.int32_in_range ~min ~max returns a random integer between min (inclusive) and max (inclusive). Both min and max are allowed to be negative; min must be less than or equal to max.

  • raises Invalid_argument

    if min > max.

  • since 5.2
val nativeint : Stdlib.Nativeint.t -> Stdlib.Nativeint.t

Random3.nativeint bound returns a random integer between 0 (inclusive) and bound (exclusive). bound must be greater than 0.

  • raises Invalid_argument

    if bound <= 0.

val nativeint_in_range : min:nativeint -> max:nativeint -> nativeint

Random3.nativeint_in_range ~min ~max returns a random integer between min (inclusive) and max (inclusive). Both min and max are allowed to be negative; min must be less than or equal to max.

  • raises Invalid_argument

    if min > max.

  • since 5.2
val int64 : Stdlib.Int64.t -> Stdlib.Int64.t

Random3.int64 bound returns a random integer between 0 (inclusive) and bound (exclusive). bound must be greater than 0.

  • raises Invalid_argument

    if bound <= 0.

val int64_in_range : min:int64 -> max:int64 -> int64

Random3.int64_in_range ~min ~max returns a random integer between min (inclusive) and max (inclusive). Both min and max are allowed to be negative; min must be less than or equal to max.

  • raises Invalid_argument

    if min > max.

  • since 5.2
val float : float -> float

Random3.float bound returns a random floating-point number between 0 and bound (inclusive). If bound is negative, the result is negative or zero. If bound is 0, the result is 0.

val bool : unit -> bool

Random3.bool () returns true or false with probability 0.5 each.

val bits32 : unit -> Stdlib.Int32.t

Random3.bits32 () returns 32 random bits as an integer between Int32.min_int and Int32.max_int.

val bits64 : unit -> Stdlib.Int64.t

Random3.bits64 () returns 64 random bits as an integer between Int64.min_int and Int64.max_int.

  • since 4.14
val nativebits : unit -> Stdlib.Nativeint.t

Random3.nativebits () returns 32 or 64 random bits (depending on the bit width of the platform) as an integer between Nativeint.min_int and Nativeint.max_int.

  • since 4.14

Advanced functions

The functions from module State manipulate the current state of the random generator explicitly. This allows using one or several deterministic PRNGs, even in a multi-threaded program, without interference from other parts of the program.

module State : sig ... end
val get_state : unit -> State.t

Return the current state of the generator used by the basic functions.

val set_state : State.t -> unit

Set the state of the generator used by the basic functions.

OCaml

Innovation. Community. Security.